Publications

[ Publications ]

Click links to jump to section of interest: < Journal Papers> | < Conference Papers >


Journal Papers
30. M. L. Lee, K. K. Win, C. L. Gan and L.P. Shi, Ultra-high-density phase-change storage using AlNiGd metallic glass thin film as recording layer, Intermetallics, vol. 18 (1), p.119-122, (2010).

http://dx.doi.org/10.1016/j.intermet.2009.06.018

29. T.L. Tan, C.L. Gan, A.Y. Du and C.K. Cheng, Effect of Ta Migration from Sidewall Barrier on Leakage Current in Cu/SiOCH Low-k Dielectrics, Journal of Applied Physics, vol. 106 (4), Art. No. 043517, (2009).

http://dx.doi.org/10.1063/1.3202387

28. M. L. Lee, K. T. Yong, C. L. Gan, L. H. Ting and L.P. Shi, Super-resolution near-field disk with phase-change Sn-doped GST mask layer, Japanese Journal of Applied Physics, vol. 48 (3), Art. No. 03A063, (2009).

http://dx.doi.org/10.1143/JJAP.48.03A063


27. H.L. Leong, C.L. Gan, C.V. Thompson CV, K.L. Pey and H.Y. Li, Electromigration-induced bond improvement for three-dimensional integrated circuits, Applied Physics Letter, vol. 94 (8), Art. No. 081901, (2009).

http://dx.doi.org/10.1063/1.3081456

26. H.L. Leong, C.L. Gan, R. I Made, C.V. Thompson CV, K.L. Pey and H.Y. Li, Experimental characterization and modeling of the contact resistance of Cu-Cu bonded interconnects, Journal of Applied Physics, vol. 105 (3), Art. No. 033514, (2009).

http://dx.doi.org/10.1063/1.3074503

25. R. I Made, C. L. Gan, L. L. Yan, A.B. Yu, S. W. Yoon, J. H. Lau and C. Lee, Study of low-temperature thermocompression bonding in Ag-In solder for packaging applications, Journal of Electronics Materials, vol. 38 (2), p. 365 - 371, (2009).

http://dx.doi.org/10.1007/s11664-008-0555-8

24. M. L. Lee, K. T. Yong, C. L. Gan, L. H. Ting, S. B. M. Daud and L.P. Shi, Crystallization and thermal stability of Sn-doped Ge2Sb2Te5 phase change material, Journal of Physics D: Applied Physics, vol. 41, 215402 (2008).

http://dx.doi.org/10.1088/0022-3727/41/21/215402

23. F.L. Wei, C.L. Gan, T.L. Tan, C.S. Hau-Riege, A.P. Marathe, J.J. Vlassak and C.V. Thompson, Electromigration-Induced Extrusion Failures in Cu/low-k Interconnects, Journal of Applied Physics, vol. 104 (2), Art. No. 023529, (2008).

http://dx.doi.org/10.1063/1.2957057

22. T.L. Tan, C.L. Gan, A.Y. Du, Y.C. Tan and C.M. Ng, Delamination-induced dielectric breakdown in Cu/low-k interconnects, Journal of Materials Research, vol. 23 (6), p. 1802-1808, (2008).

http://dx.doi.org/10.1557/JMR.2008.0222

21. T.L. Tan, C.L. Gan, A.Y. Du, C.K. Cheng and J.P. Gambino, Dielectric Degradation Mechanism for Copper Interconnects Capped with CoWP, Applied Physics Letter, vol. 92 (20), Art. No. 201916, (2008).

http://dx.doi.org/10.1063/1.2929388

20. M. L. Lee, L. P. Shi, Y. T. Tian, C. L. Gan and X. S. Miao, Crystallization behavior of Sb70Te30 and Ag3In5Sb60Te32 chalcogenide materials for optical media applications, Physica Status Solidi A-Applications and Materials Science, vol. 205 (2), p. 340-344, (2008).

http://dx.doi.org/10.1002/pssa.200723398

19. Q. Liu, C.L. Gan, C.L. Yuan and G.C. Han, Role of Metallic Cobalt in Room Temperature Dilute Ferromagnetic Semiconductor Zn0.95Co0.05O1-¦Ä, Applied Physics Letter, vol. 92 (3), Art. No. 032501, (2008). (Selected for February 4, 2008 issue of Virtual Journal of Nanoscale Science & Technology)

http://dx.doi.org/10.1063/1.2835702

18. H.L. Leong, C.L. Gan, C.V. Thompson CV, K.L. Pey and H.Y. Li, Application of contact theory to metal-metal bonding of silicon wafers, Journal of Applied Physics, vol. 102 (10), Art. No. 103510, (2007).

http://dx.doi.org/10.1063/1.2811724

17. T.L. Tan, C.L. Gan and N. Hwang, Bi-modal Dielectric Breakdown Failure Mechanisms in Cu/SiOC Low-k Interconnect System, IEEE Transactions on Device and Materials Reliability, vol. 7 (2), p. 373-378, (2007).

http://dx.doi.org/10.1109/TDMR.2007.901074

16. S. Z. Li, C. L. Gan, H. Cai, C. L. Yuan, J. Guo, P. S. Lee, and J. Ma, Enhanced photoluminescence of ZnO/Er2O3 core-shell structure nanorods synthesized by pulsed laser deposition, Applied Physics Letter, vol. 90 (26): Art. No. 263106, (2007).

http://dx.doi.org/10.1063/1.2752020

15. G. Sharma, C.S. Chong, L. Ebin, V. Kripesh, C.L. Gan and C.H. Sow, Patterned micropads made of copper nanowires on silicon substrate for application as chip to substrate interconnects, Nanotechnology, vol. 18 (30), Art. No. 305306, (2007).

http://dx.doi.org/10.1088/0957-4484/18/30/305306

14. Syed M. Alam, Chee Lip Gan, Carl V. Thompson, Donald E. Troxel, Reliability computer-aided design tool for full-chip electromigration analysis and comparison with different interconnect metallizations, Microelectronics Journal, vol. 38 (4-5), p. 463-473 (2007).

http://dx.doi.org/10.1016/j.mejo.2006.11.017

13. X.L. Sun, A.I.Y. Tok, F.Y.C. Boey, C.L. Gan, M. Schreyer, Solvent and Plasma Gas Influence on the Synthesis of Y2O3 Nanoparticles by Suspension Plasma Spraying, Journal of Materials Research, vol. 22 (5), p. 1306-1313, (2007).

http://dx.doi.org/10.1557/JMR.2007.0161

12. C.W. Chang, C.V. Thompson, C.L. Gan, K.L. Pey, W.K. Choi and W.K. Lim, Effects of micro-voids on the line-width dependence of electromigration failure, Applied Physics Letter, vol. 90 (19): Art. No. 193505, (2007).
JOURNAL TITLE IS DIFFERENT!

http://dx.doi.org/10.1063/1.2714315

11. Q. Liu, C.L. Gan and G.C. Han, Effect of Substrate Temperature on Pulsed Laser Ablated Zn0.95Co0.05O Diluted Magnetic Semiconducting Thin Films, Journal of Applied Physics, vol. 101 (7): Art. No. 073920, (2007).

http://dx.doi.org/10.1063/1.2715841

10. G. Sharma, S. C. Chong, Liao E., Cai H., C. L. Gan, V. Kripesh, Fabrication of patterned and non-patterned metallic nanowire arrays on silicon substrate, Thin Solid Films , vol. 515, p. 3315-3322, (2007).

http://dx.doi.org/10.1016/j.tsf.2006.09.009

9. J. Gambino, J. Wynne, J. Gill, S. Mongeon, D. Meatyard, B. Lee, H. Bamnolker, L. Hall, N. Li, M. Hernandez , P. Little, M. Hamed, I. Ivanov, C.L. Gan, Self-aligned metal capping layers for copper interconnects using electroless plating, Microelectronic Engineering, 83, p. 2059-2067, (2006).

http://dx.doi.org/10.1016/j.mee.2006.09.008

8. W.H. Teh, C.F. Tsang, A. Trigg, K.W. Teoh, R. Kumar, N. Balasubramanian, D.L. Kwong, S.E. Ong, Farah Malik, and C.L. Gan, Adhesion studies of Ta/low-k (Black DiamondTM) interface using thermocompressive wafer bonding and four-point bending, Journal of Electrochemical Society, 153 (9): G795-G798, (2006).
http://dx.doi.org/10.1149/1.2212070

7. Y.B. Zhang,?Q. Liu, T. Sritharan, C.L. Gan and S. Li, "Pulsed laser ablation of preferentially-orientated ZnO:Co diluted magnetic semiconducting thin films on Si substrates," Applied Physics Letter, vol. 89 (4): Art. No. 042510, (2006).

http://dx.doi.org/10.1063/1.2236286

6. C. W. Chang, Z-S. Choi, C.V. Thompson, C.L. Gan, K.L. Pey, W.K. Choi and N. Hwang, Electromigration resistance in a short three-contact interconnect tree Journal of Applied Physics, vol. 99, Art. No. 094505, (2006).

http://dx.doi.org/10.1063/1.2196114

5. N. Hwang, T.L. Tan, C.K. Cheng, A. Du, C.L. Gan, K.L. Pey, Investigation of Intrinsic Dielectric Breakdown Mechanism in Cu/Low-k Interconnect System IEEE Electron Device Letters, vol. 27, no. 4, p. 234-236, (April 2006).

http://dx.doi.org/10.1109/LED.2006.871541

4. Syed M. Alam, Gan Chee Lip, Frank L. Wei, Carl V. Thompson and Donald E. Troxel, Circuit-Level Reliability Requirements for Cu Metallization, IEEE Transactions on Device and Materials Reliability, vol. 5, no. 3, p. 522-531, (September 2005).

http://dx.doi.org/10.1109/TDMR.2005.853507

3. C. L. Gan, C. V. Thompson, K. L. Pey and W. K. Choi, Experimental Characterization and Modeling of the Reliability of 3-Terminal Dual-Damascene Cu Interconnect Trees, Journal of Applied Physics, vol. 94, no. 2, p. 1222-1228, (15 July 2003).

http://dx.doi.org/10.1063/1.1585119

2. C. L. Gan, C. V. Thompson, K. L. Pey, W. K. Choi, H. L. Tay, B. Yu and M. K. Radhakrishnan, Effect of Current Direction on the Lifetime of Different Levels of Cu Dual-Damascene Metallization, Applied Physics Letter, vol. 79, no. 27, p. 4592-4594, (31 December 2001).

http://dx.doi.org/10.1063/1.1428410

1. C. L. Gan, K. L. Pey, W. K. Chim and S. Y. Siah, Effect of High Current Conduction in Sub-Micron Ti-Silicided Films, Solid-State Electronics, vol. 44, p. 1837-1845, (1 October 2000).

http://dx.doi.org/10.1016/S0038-1101(00)00114-3
 

Conference Papers <back to top>
29. W.A. Sasangka, C.L. Gan, C.V. Thompson, W.K.Choi and J. Wei, Effect of Bonding and Aging Temperatures on Bond Strengths of Cu with 75Sn25In Solders, in Proceedings of the 11th Electronics Packaging Technology Conference, Singapore, December 2009.


28. R. I Made, C.L. Gan and L.L. Yan, Effect of Temperature and Bonding Duration on the Mechanical Strengths of Metal-Metal Thermocompression Bonds, in Materials and Technologies for 3D Integration, (Mater. Res. Soc. Symp. Proc. Volume 1112, Warrendale, PA, 2008), p. 101-106, Boston, USA, November 2008.

http://dx.doi.org/10.1557/PROC-1112-E02-04


27. M.K. Lim, C.L. Gan, T.L. Tan, Y.C. Ee, C.M. Ng, B.C. Zhang, and J.B. Tan, Effects of Pulsed Current on Electromigration Lifetime, Proceedings of the 15th International Symposium on the Physical and Failure Analysis of Integrated Circuits, p. 72-77, Singapore, July 2008.
(Best Paper in Reliability at the 15th International Symposium on the Physical & Failure Analysis of Integrated Circuits)

http://dx.doi.org/10.1109/IPFA.2008.4588157

26. R. I Made, C.L. Gan, C.K. Lee, L.L. Yan. A.B. Yu, and S.W. Yoon, Effect of Bonding Pressure on the Bond Strengths of Low Temperature Ag-In Bonds, Proceedings of the 15th International Symposium on the Physical and Failure Analysis of Integrated Circuits, p. 119-123, Singapore, July 2008.

http://dx.doi.org/10.1109/IPFA.2008.4588168

25. M.K. Lim, C.L. Gan and Y.C. Ye, Effects of Pulse Duration and Polarity on the Electromigration Behavior of Copper Interconnects under Pulsed Current Stress, in Materials and Processes for Advanced Interconnects for Microelectronics, (Mater. Res. Soc. Symp. Proc. Volume 1079E, Warrendale, PA, 2008), N5.7, San Francisco, USA, March 2008.

http://www.mrs.org/s_mrs/sec_subscribe.asp?CID=12434&DID=211366&action=detail

24. R. I Made, C.L. Gan, C.K. Lee, L.L. Yan. A.B. Yu, S.U. Yoon and J.H. Lau, Characterization of Low-Temperature Wafer Bonding Based on Ag-In System, Proceedings of SPIE, (Conference on Reliability, Packaging, Testing, and Characterization of MEMS/MOEMS VII, Vol. 6884, 2008), p. H8840, San Jose, USA, January 2008.


23. H.L. Leong, C.L. Gan, C.V. Thompson, K.L. Pey and H.Y. Li, Effects of Nanometer-Scale Surface Roughness and Applied Load on the Bond Strength and Contact Resistance of Cu-Cu Bonded 3D ICs, in Materials and Hyperintegration Challenges in Next-Generation Interconnect Technology, (Mater. Res. Soc. Symp. Proc. Volume 1036E, Warrendale, PA, 2007), M2.5, Boston, USA, November 2007.

http://www.mrs.org/s_mrs/sec_subscribe.asp?CID=11344&DID=210660&action=detail

22. T.L. Tan, A.C.T. Quah, C.L. Gan, J.C.H. Phang, C.M. Chua, C.M. Ng and A.-Y. Du, Localization of Cu/Low-k Interconnect Reliability Defects by Pulsed Laser Induced Technique, Proceedings of the 33rd International Symposium for Testing and Failure Analysis, p 156-160, San José, California, USA, November 2007.

http://www.cityofmaterials.org/portal/site/www/AsmStore/ProductDetails/?vgnextoid=4f6c736d4ee18110VgnVCM100000701e010aRCRD&campaign=recommends-personal

21. T.L. Tan, C.L. Gan, A. Du, C.K. Cheng, C.M. Ng and L. Chan, Test Structure Design for Precise Understanding of Cu/Low-k Dielectric Reliability, Proceedings ¨C 45th Annual 2007 IEEE International Reliability Physics Symposium, Phoenix, p. 632-633, USA, April 2007.

http://dx.doi.org/10.1109/RELPHY.2007.369986

20. N. Hwang, T.L. Tan, C.K. Cheng, A.Y. Du, C.L. Gan and D.L. Kwong, The Analysis of Dielectric Breakdown in Cu/Low-k Interconnect System, Proceedings of ESSDERC 2006: 36th European Solid-State Device Research Conference, p. 399-402, Switzerland, Oct 2006.

http://dx.doi.org/10.1109/ESSDER.2006.307722

19. T.L. Tan, Jesica, C.L. Gan, N. Hwang and J. Gambino, Low-k Dielectric Breakdown Improvement with Co(W,P) Cap Barrier, Proceedings of the 13th International Symposium on the Physical and Failure Analysis of Integrated Circuits, p. 106-109, Singapore, July 2006.

http://dx.doi.org/10.1109/IPFA.2006.251008

18. N. Hwang, T.L. Tan and C.L. Gan, Distinction of Intrinsic and Extrinsic Breakdown Failure Modes of Cu/Low-k Interconnects, Proceedings of ESSDERC 2005: 35th European Solid-State Device Research Conference, p. 273-276, Grenoble, France, Sept 2005.

http://dx.doi.org/10.1109/ESSDER.2005.1546638

17. T.L. Tan, H.P. Lim, C.L. Gan and N. Hwang, Statistical and Physical Analysis of Leakage and Breakdown Failure Mechanisms of Cu/Low-k Interconnects, Proceedings of the 12th International Symposium on the Physical and Failure Analysis of Integrated Circuits, p. 267-270, Singapore, July 2005.

http://dx.doi.org/10.1109/IPFA.2005.1469176

16. C.L. Gan, C.Y. Lee, C.K. Cheng and J. Gambino, Effect of Current Direction on the Reliability of Different Capped Cu Interconnects, in Materials, Technology and Reliability of Advanced Interconnects-2005, edited by Paul R. Besser, Andrew J. McKerrow, Francesca Iacopi, C.P. Wong, and Joost Vlassak (Mater. Res. Soc. Symp. Proc. 863, Warrendale, PA, 2005), p. 289-294. MRS Spring Meeting, San Francisco, USA, April 2005.

http://www.mrs.org/s_mrs/sec_subscribe.asp?CID=2727&DID=155267&action=detail

15. Z.-S. Choi, C.W. Chang, J.H. Lee, C.L. Gan, C.V. Thompson, K.L. Pey and W.K. Choi, Multi-Via Electromigration Test Structures for Identification and Characterization of Different Failure Mechanisms, in Materials, Technology and Reliability of Advanced Interconnects-2005, edited by Paul R. Besser, Andrew J. McKerrow, Francesca Iacopi, C.P. Wong, and Joost Vlassak (Mater. Res. Soc. Symp. Proc. 863, Warrendale, PA, 2005), p. 271-276. MRS Spring Meeting, San Francisco, USA, April 2005.

http://www.mrs.org/s_mrs/sec_subscribe.asp?CID=2727&DID=155268&action=detail

14. S.M. Alam, F.L. Wei, C.L. Gan, C.V. Thompson and D.E. Troxel, Electromigration reliability comparison of Cu and Al interconnects, Proceedings of the 6th International Symposium on Quality Electronic Design, p. 303-308, San Jose, USA, March 2005.

http://dx.doi.org/10.1109/ISQED.2005.51

13. Syed M. Alam, Frank Wei, Chee Lip Gan; Carl V. Thompson and Donald E. Troxel, Impact of non-blocking vias on electromigration and circuit-level reliability assessments of Cu interconnects, Proceedings of Advanced Metallization Conference, p. 233-239, San Diego, USA, October 2004.

http://www-mtl.mit.edu/researchgroups/reliability/papers/syed_amc_paper.pdf

12. C.V. Thompson, C.L. Gan, S.M. Alam and D.E. Troxel. Experiments and Models for Circuit-Level Assessment of the Reliability of Cu Metallization, Proceedings of the IEEE 2004 International Interconnect Technology Conference, p. 69-71, San Francisco, USA, June 2004. (Invited)

http://ieeexplore.ieee.org/Xplore/login.jsp?url=http%3A%2F%2Fieeexplore.ieee.org%2Fiel5%2F9318%2F29623%2F01345689.pdf%3Farnumber%3D1345689&authDecision=-203

11. H.M. Lam, M.H. Hong, C. L. Gan, and T. C. Chong, Synthesis, Characterization and Photoluminescence of b-Ga2O3 nanofibers, Proceedings of SPIE - 5th International Symposium on Laser Precision Microfabrication, vol. 5662, p. 62-66, Nara, Japan, May 2004.

http://md1.csa.com/partners/viewrecord.php?requester=gs&collection=TRD&recid=2006031107844SO&q=Synthesis%2C+Characterization+and+Photoluminescence+of+%EF%81%A2-Ga2O3+nanofibers&uid=788949139&setcookie=yes


10. Z.-S. Choi , C.L. Gan , F. Wei, C.V. Thompson , J.H. Lee, K.L. Pey, and W.K. Choi, Fatal Void Size Comparisons in Via-Below and Via-Above Cu Dual-Damascene Interconnects, in Materials, Technology and Reliability for Advanced Interconnects and Low-k Dielectrics-2004, edited by R.J. Carter, C.S. Hau-Riege, G.M. Kloster, T.-M. Lu, and S.E. Schulz (Mater. Res. Soc. Symp. Proc. 812, Warrendale, PA, 2004), p. 373-378. MRS Spring Meeting, San Francisco, USA, April 2004.

http://www.mrs.org/s_mrs/sec_subscribe.asp?CID=2660&DID=106720&action=detail

9. C.W. Chang, C.L. Gan, C.V. Thompson, K.L. Pey, W.K. Choi, and N. Hwang, Mortality Dependence of Cu Dual Damascene Interconnects on Adjacent Segment, in Materials, Technology and Reliability for Advanced Interconnects and Low-k Dielectrics-2004, edited by R.J. Carter, C.S. Hau-Riege, G.M. Kloster, T.-M. Lu, and S.E. Schulz (Mater. Res. Soc. Symp. Proc. 812, Warrendale, PA, 2004), p. 339-344. MRS Spring Meeting, San Francisco, USA, April 2004.

http://hdl.handle.net/1721.1/3835

8. S.M. Alam, Gan Chee Lip, C.V. Thompson and D.E. Troxel, Circuit-Level Reliability Analysis of Cu Interconnects Proceedings of the 5th International Symposium on Quality Electronic Design, p. 238-243, San Jose, USA, March 2004.

http://dx.doi.org/10.1109/ISQED.2004.1283680

7. C.W. Chang, C.L. Gan, C.V. Thompson, K.L. Pey, W.K. Choi and M.H. Chua, Joule-Heating-Assisted Electromigration Failure Mechanisms for Dual Damascene Cu/SiO2 Interconnects, Proceedings of the 10th International Symposium on the Physical and Failure Analysis of Integrated Circuits, p. 69-74, Singapore, July 2003.


6. C.L. Gan, C.V. Thompson, K.L. Pey, W.K. Choi, C.W. Chang and Q. Guo, Experimental Characterization of the Reliability of Multi-Terminal Dual-Damascene Copper Interconnect Trees, in Materials, Technology and Reliability for Advanced Interconnects and Low-k Dielectrics-2003, edited by A.J. McKerrow, J. Leu, O. Kraft, T. Kikkawa (Mater. Res. Soc. Symp. Proc. 766, Warrendale, PA, 2003), p. 121-126. MRS Spring Meeting, San Francisco, USA, April 2003.

http://www.mrs.org/s_mrs/sec_subscribe.asp?CID=2594&DID=109918&action=detail

5. C.L. Gan, C.V. Thompson, K.L. Pey, W.K. Choi, C.W. Chang and Q. Guo, Effect of Current Distribution on the Reliability of Multi-Terminal Cu Dual-Damascene Interconnect Trees, 41st Annual Proceedings: International Reliability Physics Symposium, p. 594-595, Dallas, USA, March 2003.

http://dx.doi.org/10.1109/RELPHY.2003.1197822


4. C.L. Gan, C.V. Thompson, K.L. Pey, W.K. Choi, F. Wei, B. Yu and S.P. Hau-Riege, Experimental Characterization of the Reliability of 3-Terminal Dual-Damascene Copper Interconnect Trees, in Silicon Materials - Processing, Characterization and Reliability, edited by J.L. Veteran, D.L. O'Meara, V.M., P.S. Ho (Mater. Res. Soc. Symp. Proc. 716, Warrendale, PA, 2002), p. 431-438. MRS Spring Meeting, San Francisco, USA, April 2002.

http://www.mrs.org/s_mrs/sec_subscribe.asp?CID=2594&DID=109918&action=detail

3. F. Wei, C.L. Gan, C.V. Thompson, J.J. Clement, S.P. Hau-Riege, K.L. Pey, W.K. Choi, H.L. Tay, B. Yu and M.K. Radhakrishnan, Length Effects on the Reliability of Dual-Damascene Cu Interconnects, in Silicon Materials - Processing, Characterization and Reliability, edited by J.L. Veteran, D.L. O'Meara, V.M., P.S. Ho (Mater. Res. Soc. Symp. Proc. 716, Warrendale, PA, 2002), p. 645-650. MRS Spring Meeting, San Francisco, USA, April 2002.

http://www.mrs.org/s_mrs/sec_subscribe.asp?CID=2527&DID=111772&action=detail

2. C.L. Gan, F. Wei, C.V. Thompson, K.L. Pey, W.K. Choi, S.P. Hau-Riege and B. Yu, Contrasting Failure Characteristics of Different Levels of Cu Dual-Damascene Metallization, Proceedings of the 9th International Symposium on the Physical and Failure Analysis of Integrated Circuits, p. 124-128, Singapore, July 2002.
(Best Paper in Reliability at the 9th International Symposium on the Physical & Failure Analysis of Integrated Circuits)

http://dx.doi.org/10.1109/IPFA.2002.1025630

1. H.N. Chua, K.L. Pey, S.Y. Siah, L.Y. Ong, E.H. Lim, C.L. Gan, K.H. See and C.S. Ho, Impact of Voids in Ti-Salicided p+ Polysilicon Lines on TiSi2 Electrical Properties, Proceedings of the 7th International Symposium on the Physical and Failure Analysis of Integrated Circuits, p. 44-49, Singapore, July 1999.

http://dx.doi.org/10.1109/IPFA.1999.791302