Publications

Corresponding authors are marked by *

Book Chapters

  1. Di Liu, Hao Kong, Xiangzhong Luo, Shuo Huai, Weichen Liu*, “Edge Intelligence: From Deep Learning’s Perspective”, The Key Elements of Digital Factory, Elsevier, 2022.
  2. Mengquan Li, Weichen Liu*, “Thermal Reliability and Communication Performance Co-Optimization for WDM-based Optical Networks-on-Chip”, Silicon Photonics for High Performance Computing and Beyond, CRC Press, 2021.
  3. Lei Yang, Weichen Liu*, Weiwen Jiang, Mengquan Li, Jie Wang, “Isolation of Physical and Logical Views of Dark-Silicon Many-Core Systems for Reliability and Performance Co-Optimization”, Embedded System Technology, Springer, 2015.
  4. Jiang Xu*, Huaxi Gu, Wei Zhang, Weichen Liu, “FONoC: A Fat Tree Based Optical Networks-on-Chip for Multiprocessor System-on-Chip”, Integrated Optical Interconnect Architectures and Applications in Embedded Systems, Springer, 2012.

Refereed Journal Papers

  1. Peng Chen*, Hui Chen, Weichen Liu, Linbo Long, Wanli Chang, Nan Guan, “DAG-Order: An Order-Based Dynamic DAG Scheduling for Real-Time Networks-on-Chip”, ACM Transactions on Architecture and Code Optimization (TACO), 2023.
  2. Shuo Huai, Hao Kong, Shiqing Li, Xiangzhong Luo, Ravi Subramaniam, Christian Makaya, Qian Lin, Weichen Liu*, “EvoLP: Self-Evolving Latency Predictor for Model Compression in Real-Time Edge Systems”, IEEE Embedded Systems Letters (LES), 2023.
  3. Mingfeng Lan, Mengquan Li*, Jie Xiong, Weichen Liu, Chubo Liu, Kenli Li, “Automated Optical Accelerator Search: Expediting Green and Ubiquitous DNN-Powered Intelligence”, IEEE Design & Test, 2023.
  4. Shuo Huai, Hao Kong, Xiangzhong Luo, Di Liu, Ravi Subramaniam, Christian Makaya, Qian Lin, Weichen Liu*, “On Hardware-Aware Design and Optimization of Edge Intelligence”, IEEE Design & Test, 2023.
  5. Mengquan Li*, Kenli Li, Chao Wu, Gang Liu, Mingfeng Lan, Yunchuan Qin, Zhuo Tang, Weichen Liu, “Automated Optical Accelerator Search Toward Superior Acceleration Efficiency, Inference Robustness and Development Speed”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2023.
  6. Shuo Huai, Hao Kong, Xiangzhong Luo, Shiqing Li, Ravi Subramaniam, Christian Makaya, Qian Lin, Weichen Liu*, “CRIMP: Compact & Reliable DNNs Inference for In-Memory Processing via Crossbar-Aligned Compression and Non-ideality Adaptation”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), as Proceedings of ACM/IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2023.
  7. Shiqing Li, Shuo Huai, Weichen Liu*, “An Efficient Gustavson-based Sparse Matrix-matrix Multiplication Accelerator on Embedded FPGAs”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2023.
  8. Shiqing Li, Di Liu, Weichen Liu*, “Efficient FPGA-based Sparse Matrix-Vector Multiplication with Data Reuse-aware Compression”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2023.
  9. Hao Kong, Di Liu, Shuo Huai, Xiangzhong Luo, Ravi Subramaniam, Christian Makaya, Qian Lin, Weichen Liu*, “EdgeCompress: Coupling Multi-Dimensional Model Compression and Dynamic Inference for EdgeAI”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2023.
  10. Shuo Huai, Di Liu, Hao Kong, Ravi Subramaniam, Christian Makaya, Qian Lin, Weichen Liu*, “Latency-Constrained DNN Architecture Learning for Edge Systems using Zerorized Batch Normalization”, Future Generation Computer Systems (FGCS), 2023.
  11. Shien Zhu, Luan H.K. Duong, Hui Chen, Di Liu, Weichen Liu*, “FAT: An In-Memory Accelerator with Fast Addition for Ternary Weight Neural Networks”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2023.

  12. Liang Feng*, Wei Zhou, Weichen Liu, Yew-Soon Ong, Kay Chen Tan, “Solving Dynamic Multi-objective Problem via Autoencoding Evolutionary Search”, IEEE Transactions on Cybernetics (IEEE TCYB), 2022.
  13. Xiangzhong Luo, Di Liu, Hao Kong, Shuo Huai, Hui Chen, Weichen Liu*, “LightNAS: On Lightweight and Scalable Neural Architecture Search for Embedded Platforms”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2022.
  14. Fangzhu Lin, Chunhua Xiao*, Weichen Liu, Lin Wu, Chen Shi, Kun Ning, “Fast and Low Overhead Metadata Operations for NVM-based File System Using Slotted Paging”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2022.
  15. Shuangshuang Chang*, Ran Bi, Jinghao Sun, Weichen Liu, Qi Yu, Qingxu Deng, Zonghua Gu, “Towards Minimum WCRT Bound for DAG Tasks Under Prioritized List Scheduling Algorithms”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), as Proceedings of ACM/IEEE International Conference on Embedded Software (EMSOFT), 2022.
  16. Shien Zhu, Luan H.K. Duong, Hui Chen, Di Liu, Weichen Liu*, “FAT: An In-Memory Accelerator with Fast Addition for Ternary Weight Neural Networks”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2022.
  17. Di Liu*, Shi-Gui Yang, Zhenli He, Mingxiong Zhao, Weichen Liu, “CARTAD: Compiler-Assisted Reinforcement Learning for Thermal-Aware Task Scheduling and DVFS on Multicores”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2022.
  18. Xiangzhong Luo, Di Liu, Hao Kong, Shuo Huai, Hui Chen, Weichen Liu*, “SurgeNAS: A Comprehensive Surgery on Hardware-Aware Differentiable Neural Architecture Search”, IEEE Transactions on Computers (TC), 2022.
  19. Hui Chen, Peng Chen, Xiangzhong Luo, Shuo Huai, Weichen Liu*, “LAMP: Load-balanced Multipath Parallel Transmission in Point-to-point NoCs”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2022.
  20. Shien Zhu, Luan H. K. Duong, Weichen Liu*, “TAB: Unified and Optimized Ternary, Binary and Mixed-Precision Neural Network Inference on the Edge”, ACM Transactions on Embedded Computing Systems (TECS), 2022.

  21. Yang Wang, Xu Jiang, Nan Guan*, Yue Tang, Weichen Liu, “Locking Protocols for Parallel Real-Time Tasks with Semaphores under Federated Scheduling”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2021.
  22. Weigang Hou*, Pengxing Guo, Lei Guo, Xu Zhang, Hui Chen, Weichen Liu, “O-Star: An Optical Switching Architecture Featuring Mode and Wavelength-Division Multiplexing for On-chip Many-Core Systems”, IEEE/OSA Journal of Lightwave Technology (JLT), 2021.
  23. Peng Chen, Hui Chen, Jun Zhou, Mengquan Li, Weichen Liu*, Chunhua Xiao, Yiyuan Xie, Nan Guan, “Contention Minimization in Emerging SMART NoC via Direct and Indirect Routes”, IEEE Transactions on Computers (TC), 2021.
  24. Xiangzhong Luo, Di Liu, Shuo Huai, Hao Kong, Hui Chen, Weichen Liu*, “Designing Efficient DNNs via Hardware-Aware Neural Architecture Search and Beyond”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2021.
  25. Hui Chen, Zihao Zhang, Peng Chen, Xiangzhong Luo, Shiqing Li, Weichen Liu*, “MARCO: A High-performance Task Mapping and Routing Co-optimization Framework for Point-to-Point NoC-based Heterogeneous Computing Systems”, ACM Transactions on Embedded Computing Systems (TECS), as Proceedings of ACM/IEEE International Conference on Compilers, Architecture, and Synthesis of Embedded Systems (CASES), 2021.
  26. Hao Kong, Shuo Huai, Di Liu, Lei Zhang, Hui Chen, Shien Zhu, Shiqing Li, Weichen Liu*, Manu Rastogi, Ravi Subramaniam, Madhu Athreya, M. Anthony Lewis, “EDLAB: A Benchmark for Edge Deep Learning Accelerators”, IEEE Design & Test, 2021.
  27. Hui Chen, Peng Chen, Luan H. K. Duong, Weichen Liu*, “ArSMART: An Improved SMART NoC Design Supporting Arbitrary-Turn Transmission”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2021.
  28. Di Liu, Hao Kong, Xiangzhong Luo, Weichen Liu*, Ravi Subramaniam, “Bringing AI To Edge: From Deep Learning's Perspective”, Elsevier Neurocomputing, 2021.
  29. Mengquan Li, Weichen Liu*, Lei Yang, Peng Chen, “Contention-aware Routing for Thermal-Reliable Optical Networks-on-Chip”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (IEEE TCAD), 2021.
  30. Xu Jiang2, Nan Guan*, He Du, Weichen Liu, Wang Yi, “On the Analysis of Parallel Real-Time Tasks with Spin Locks”, IEEE Transactions on Computers (IEEE TC), 2021.
  31. Peng Chen, Weichen Liu*, Hui Chen, Shiqing Li, Mengquan Li, Lei Yang, Nan Guan, “Reduced Worst-Case Communication Latency Using Single-Cycle Multi-Hop Traversal Network-on-Chip”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (IEEE TCAD), 2021.
  32. Jun Zhou, Mengquan Li, Pengxing Guo, Weichen Liu*, “Attack Mitigation of Hardware Trojans for Thermal Sensing via Micro-ring Resonator in Optical NoCs”, ACM Journal on Emerging Technologies in Computing Systems (JETC), 2021.
  33. Shuai Zhao, Wanli Chang*, Ran Wei, Weichen Liu, Nan Guan, Alan Burns, Andy Wellings, “Priority Assignment on Partitioned Multiprocessor Systems with Shared Resources”, IEEE Transactions on Computers (IEEE TC), 2021.

  34. Yaoyao Ye*, Wenfei Zhang, Weichen Liu, “Thermal-Aware Design and Simulation Approach for Optical NoCs”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2020.
  35. Wei Zhang2, Nan Guan*, Lei Ju, Yue Tang, Weichen Liu, Zhiping Jia, “Scope-Aware Useful Cache Block Calculation for Cache-Related Preemption Delay Analysis with Set-Associative Data Caches”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2020.
  36. Zhiwei Feng, Nan Guan*, Mingsong Lv, Weichen Liu, Qingxu Deng, Xue Liu, Wang Yi, “Efficient drone hijacking detection using Two-Step GA-XGBoost”, Elsevier Journal of Systems Architecture (JSA), 2020.
  37. Weichen Liu*, Guiyu Tian, Mengquan Li, “Autonomous Temperature Sensing for Optical Network-on-Chip”, Elsevier Journal of Systems Architecture (JSA), 2020.
  38. Pengxing Guo*, Weigang Hou, Lei Guo, Luan H. K. Duong, Weichen Liu, “Fault-Tolerant Routing Mechanism in 3D Optical Network-on-Chip based on Node Reuse”, IEEE Transactions on Parallel and Distributed Systems (IEEE TPDS), 2020.

  39. Mengquan Li, Weichen Liu*, Nan Guan, Yiyuan Xie, Yaoyao Ye, “Hardware-Software Collaborated Thermal Sensing in Optical Network-on-Chip”, ACM Transactions on Embedded Computing Systems (ACM TECS), 2019.
  40. Peng Chen, Weichen Liu, Xu Jiang, Qingqiang He, Nan Guan*, “Timing-Anomaly Free Dynamic Scheduling of Conditional DAG Tasks on Multi-Core Systems”, ACM Transactions on Embedded Computing Systems (ACM TECS), as Proceedings of ACM/IEEE International Conference on Embedded Software (EMSOFT), 2019.
  41. Meiling Han, Nan Guan*, Jinghao Sun, Qingqiang He, Qingxu Deng, Weichen Liu, “Response Time Bounds for Typed DAG Parallel Tasks on Heterogeneous Multi-cores”, IEEE Transactions on Parallel and Distributed Systems (TPDS), 2019.
  42. Kan Zhong, Duo Liu*, Yunsong Wu, Linbo Long, Weichen Liu, Jinting Ren, Renping Liu, Moming Duan, Liang Liang, Zili Shao, “Towards Fast and Lightweight Checkpointing for Mobile Virtualization using NVRAM”, IEEE Transactions on Parallel and Distributed Systems (IEEE TPDS), 2019.
  43. Chunhua Xiao*, Yuhua Xie, Lei Zhang, Weichen Liu, Neil Bergmann, “Energy-Efficient Crypto Acceleration with HW/SW Co-design for HTTPS”, Future Generation Computer Systems (FGCS), 2019.
  44. Songran Liu, Nan Guan*, Dong Ji, Weichen Liu, Xue Liu, Wang Yi, “Leaking Your Engine Speed by Spectrum Analysis of Real-Time Scheduling Sequences”, Elsevier Journal of Systems Architecture (JSA), 2019.
  45. Chunhua Xiao*, Lei Zhang, Weichen Liu, Pengda Li, Yanyue Pan, Neil Bergmann, “NV-eCryptfs: Accelerating Enterprise-level Cryptographic File System with Non-Volatile Memory”, IEEE Transactions on Computers (IEEE TC), 2019.
  46. Weichen Liu*, Juan Yi, Mengquan Li, Peng Chen, Lei Yang, “Energy-Efficient Application Mapping and Scheduling for Lifetime Guaranteed MPSoCs”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (IEEE TCAD), 2019.
  47. Lei Yang*, Weichen Liu, Nan Guan, Nikil Dutt, “Optimal Application Mapping and Scheduling for Network-on-Chips with Computation in STT-RAM based Router”, IEEE Transactions on Computers (IEEE TC), 2019.
  48. Zhiwei Feng, Nan Guan*, Mingsong Lv, Weichen Liu, Qingxu Deng, Xue Liu, Wang Yi, “An Efficient UAV Hijacking Detection Method Using Onboard Inertial Measurement Unit”, ACM Transactions on Embedded Computing Systems (ACM TECS), 2019.

  49. Weichen Liu, Lei Yang*, Weiwen Jiang, Liang Feng, Nan Guan, Wei Zhang, Nikil Dutt, “Thermal-aware Task Mapping on Dynamically Reconfigurable Network-on-Chip based Multiprocessor System-on-Chip”, IEEE Transactions on Computers (IEEE TC), 2018.
  50. Weichen Liu*, Zhe Wang, Peng Yang, Jiang Xu, Bin Li, Ravi Iyer, Rameshkumar Illikkal, “A Systematic and Realistic Network-on-Chip Traffic Modeling and Generation Technique for Emerging Many-Core Systems”, IEEE Transactions on Multi-Scale Computing Systems (IEEE TMSCS), 2018.
  51. Wei Zhang, Nan Guan*, Lei Ju, Weichen Liu, “Analyzing Data Cache Related Preemption Delay with Multiple Preemptions”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (IEEE TCAD), as Proceedings of International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES), 2018.
  52. Chunhua Xiao*, Pengda Li, Lei Zhang, Weichen Liu, Neil Bergmann, “ACA-SDS: Adaptive Crypto Acceleration for Secure Data Storage in Big Data”, IEEE Access, 2018.
  53. Chunhua Xiao*, Lei Zhang, Yuhua Xie, Weichen Liu, Duo Liu, “Hardware/Software Adaptive Cryptographic Acceleration for Big Data Processing”, Security and Communication Networks, 2018.
  54. Chao Chen*, Shuhai Jiao, Shu Zhang, Weichen Liu, Liang Feng, Yasha Wang, “TripImputor: Real-Time Imputing Taxi Trip Purpose Leveraging Multi-sourced Urban Data”, IEEE Transactions on Intelligent Transportation Systems (IEEE TITS), 2018.
  55. Mengquan Li, Weichen Liu*, Lei Yang, Peng Chen, Chao Chen, “Chip Temperature Optimization for Dark Silicon Many-Core Systems”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (IEEE TCAD), 2018.

  56. Lei Yang, Weichen Liu*, Weiwen Jiang, Mengquan Li, Peng Chen, 8Edwin H. M. Sha, “FoToNoC: A Folded Torus-Like Network-on-Chip based Many-Core Systems-on-Chip in the Dark Silicon Era”, IEEE Transactions on Parallel and Distributed Systems (IEEE TPDS), 2017.
  57. Lei Yang, Weichen Liu*, Weiwen Jiang, Chao Chen, Mengquan Li, Peng Chen, Edwin H. M. Sha, “Hardware-Software Collaboration for Dark Silicon Heterogeneous Many-Core Systems”, Elsevier Future Generation Computer Systems (FGCS), vol.68, pp.234-247, Mar. 2017.

  58. Lei Yang, Weichen Liu*, Weiwen Jiang, Mengquan Li, Juan Yi, Edwin H. M. Sha, “Application Mapping and Scheduling for Network-on-Chip based Multiprocessor System-on-Chip with Fine-Grain Communication Optimization”, IEEE Transactions on Very Large Scale Integration Systems (IEEE TVLSI), vol.24, no.10, pp.3027-3040, Oct. 2016.
  59. Weichen Liu*, Chunhua Xiao, “An Efficient Technique of Application Mapping and Scheduling on Real-Time Multiprocessor Systems for Throughput Optimization”, ACM Transactions on Embedded Computing Systems (TECS), vol.15, no.4, pp.1-25, Aug. 2016.
  60. Weichen Liu*, Wei Zhang, Xuan Wang, Jiang Xu, “Distributed Sensor Network on Chip for Performance Optimization of Soft Error Tolerant Multiprocessor System-on-Chip”, IEEE Transactions on Very Large Scale Integration Systems (IEEE TVLSI), vol.24, no.4, pp.1546-1559, Apr. 2016.
  61. Chunhua Xiao*, Weichen Liu, “Through Global Sharing to Improve Network Efficiency for Radio-Frequency Interconnect Based Network-on-Chip”, IEEE Access, vol. 4, no. 1, pp. 6503-6514, 2016.
  62. Yingnan Cui*, Wei Zhang, Vivek Chaturvedi, Weichen Liu, Bingsheng He, “Thermal-Aware Task Scheduling for 3D-Network-on-Chip: A Bottom to Top Scheme”, Journal of Circuits, Systems and Computers (JCSC), vol.25, no.1, Jan. 2016.
  63. Chunhua Xiao*, Weichen Liu, “Research on Shared Radio Frequency Interconnect for Network on Chip”, Chinese Journal of Computers, 2015.
  64. Fang Li*, Changze Wu, Kaigui Wu, Wenke Zhang, Weichen Liu, “QoS Prediction of Web Service Based on HHGA-RBF Neural Network”, Journal of Computational Information Systems, 2015.
  65. Xiaowen Wu*, Yaoyao Ye, Jiang Xu, Wei Zhang, Weichen Liu, Mahdi Nikdast, Xuan Wang, “UNION: A Unified Inter/Intra-Chip Optical Network for Chip Multiprocessors”, IEEE Transactions on Very Large Scale Integration Systems (IEEE TVLSI), vol.22, no.5, pp.1082-1095, May 2014.
  66. Weichen Liu*, Xuan Wang, Jiang Xu, Wei Zhang, Yaoyao Ye, Xiaowen Wu, Mahdi Nikdast, Zhehui Wang, “On-Chip Sensor Networks for Soft-Error Tolerant Real-Time Multiprocessor Systems-on-Chip”, ACM Journal on Emerging Technologies in Computing Systems (ACM JETC), vol.10, no.2, pp.1-20, Mar. 2014.
  67. Weichen Liu*, Yu Wang, Xuan Wang, Jiang Xu, Huazhong Yang, “On-Chip Sensor Network for Efficient Management of Power Gating Induced Power/Ground Noise in Multiprocessor System-on-Chip”, IEEE Transactions on Parallel and Distributed Systems (IEEE TPDS), vol.24, no.4, pp.767-777, Apr. 2013.
  68. Yaoyao Ye*, Jiang Xu, Baihan Huang, Xiaowen Wu, Wei Zhang, Xuan Wang, Mahdi Nikdast, Zhehui Wang, Weichen Liu, Zhe Wang, “3D Mesh-based Optical Network-on-Chip for Multiprocessor System-on-Chip”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (IEEE TCAD), vol.32, no.4, pp.584-596, Apr. 2013.
  69. Yiyuan Xie*, Mahdi Nikdast, Jiang Xu, Xiaowen Wu, Wei Zhang, Yaoyao Ye, Xuan Wang, Zhehui Wang, Weichen Liu, “Formal Worst-Case Analysis of Crosstalk Noise in Mesh-Based Optical Networks-on-Chip”, IEEE Transactions on Very Large Scale Integration Systems (IEEE TVLSI), vol.21, no.10, pp.1823-1836, Oct. 2013.
  70. Yaoyao Ye*, Jiang Xu, Xiaowen Wu, Wei Zhang, Xuan Wang, Mahdi Nikdast, Zhehui Wang, Weichen Liu, “System-Level Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip”, IEEE Transactions on Very Large Scale Integration Systems (IEEE TVLSI), vol.21, no.2, pp.292-305, Feb. 2013.

  71. Yaoyao Ye*, Jiang Xu, Xiaowen Wu, Weichen Liu, Mahdi Nikdast, “A Torus-based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip”, ACM Journal on Emerging Technologies in Computing Systems (ACM JETC), vol.8, no.1, pp.1-26, Feb. 2012.
  72. Weichen Liu*, Jiang Xu, Zonghua Gu, Xiaowen Wu, Yaoyao Ye, “Satisfiability Modulo Graph Theory for Task Mapping and Scheduling on Multiprocessor Systems”, IEEE Transactions on Parallel and Distributed Systems (IEEE TPDS), vol.22, no.8, pp.1382-1389, Aug. 2011.
  73. Yu Wang*, Jiang Xu, Yan Xu, Weichen Liu, Huazhong Yang, “Power Gating Aware Task Scheduling in MPSoC”, IEEE Transactions on Very Large Scale Integration Systems (IEEE TVLSI), vol.19, no.10, pp.1801-1812, Oct. 2011.
  74. Weichen Liu*, Jiang Xu, Jogesh K. Muppala, Wei Zhang, Xiaowen Wu, Yaoyao Ye, “Coroutine-based Synthesis of Efficient Embedded Software from SystemC Models”, IEEE Embedded Systems Letters (IEEE LES), vol.3, no.1, pp.46-49, Mar. 2011.
  75. Weichen Liu*, Zonghua Gu, Jiang Xu, “Efficient Software Synthesis for Dynamic Single Appearance Scheduling of Synchronous Dataflow”, IEEE Embedded Systems Letters (IEEE LES), vol.1, no.3, pp.69-72, Oct. 2009.
  76. Zonghua Gu, Weichen Liu*, Jiang Xu, Jin Cui, Xiuqiang He, Qingxu Deng, “Efficient Algorithms for 2D Area Management and Online Task Placement on Runtime Reconfigurable FPGAs”, Elsevier Microprocessors and Microsystems, vol.33, iss.5-6, pp.374-387, Aug. 2009.
  77. Xin Li*, Zhenzhou Ji, Weichen Liu, Mingzeng Hu, “Stateful Inspection Firewall Session Table Architecture and Timeouts”, Journal of Harbin Institute of Technology (New Series), 2006.
  78. Xin Li*, Zhenzhou Ji, Weichen Liu, Mingzeng Hu, “An Algorithm for Detecting Firewall Filters Conflicts”, Journal of Beijing University of Post and Telecommunications, vol.29, iss.4, pp.85-88, 2006.
  79. Xin Li*, Zhenzhou Ji, Weichen Liu, Mingzeng Hu, “Fast Tuple Space Search Algorithm for Multi-Dimensional Packet Classification”, High Technology Letters (English Edition), 2006.

Refereed Conference Papers

  1. Xiangzhong Luo, Di Liu, Hao Kong, Shuo Huai, Hui Chen, Shiqing Li, Guochu Xiong, Weichen Liu*, “Pearls Hide Behind Linearity: Simplifying Deep Convolutional Networks for Embedded Hardware Systems via Linearity Grafting”, ACM/IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), 2024.
  2. Shiqing Li, Shien Zhu, Xiangzhong Luo, Tao Luo, Weichen Liu*, “An Efficient Sparse LSTM Accelerator on Embedded FPGAs with Bandwidth-oriented Pruning”, IEEE International Conference on Field-Programmable Logic and Applications (FPL), 2023.
  3. Shien Zhu, Shuo Huai, Guochu Xiong, Weichen Liu*, “iMAT: Energy-Efficient In-Memory Acceleration of Ternary Neural Networks With Sparse Dot Product”, ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), 2023.
  4. Hao Kong, Di Liu, Xiangzhong Luo, Shuo Huai, Ravi Subramaniam, Christian Makaya, Qian Lin, Weichen Liu*, “Towards Efficient Convolutional Neural Network for Embedded Hardware via Multi-Dimensional Pruning”, ACM/IEEE Design Automation Conference (DAC), 2023.
  5. Mengquan Li*, Kenli Li, Mingfeng Lan, Jie Xiong, Zhuo Tang, Weichen Liu, “FIONA: Fine-grained Incoherent Optical DNN Accelerator Search Towards Superior Efficiency and Robustness”, ACM/IEEE Design Automation Conference (DAC), 2023.
  6. Shiqing Li, Weichen Liu*, “Accelerating Gustavson-based SpMM on Embedded FPGAs with Element-wise Parallelism and Access Pattern-aware Caches”, ACM/IEEE Design, Automation and Test in Europe (DATE), 2023. (Best Paper Candidate Award)
  7. Hao Kong, Xiangzhong Luo, Shuo Huai, Di Liu, Ravi Subramaniam, Christian Makaya, Qian Lin, Weichen Liu*, “EMNAPE: Efficient Multi-Dimensional Neural Architecture Pruning for EdgeAI”, ACM/IEEE Design, Automation and Test in Europe (DATE), 2023. (Short Paper)
  8. Hui Chen, Di Liu, Shiqing Li, Shuo Huai, Xiangzhong Luo, Weichen Liu*, “MUGNoC: A Software-configured Multicast-Unicast-Gather NoC for Accelerating CNN Dataflows”, ACM/IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), 2023.
  9. Shuo Huai, Di Liu, Xiangzhong Luo, Hui Chen, Weichen Liu*, Ravi Subramaniam, “Crossbar-Aligned & Integer-Only Neural Network Compression for Efficient In-Memory Acceleration”, ACM/IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), 2023.

  10. Shuo Huai, Di Liu, Hao Kong, Xiangzhong Luo, Weichen Liu*, Ravi Subramaniam, Christian Makaya, Qian Lin, “Collate: Collaborative Neural Network Learning for Latency-Critical Edge Systems”, IEEE International Conference on Computer Design (ICCD), 2022.
  11. Hao Kong, Di Liu, Shuo Huai, Xiangzhong Luo, Weichen Liu*, Ravi Subramaniam, Christian Makaya, Qian Lin, “Smart Scissor: Coupling Spatial Redundancy Reduction and CNN Compression for Embedded Hardware”, ACM/IEEE International Conference on Computer Aided Design (ICCAD), 2022.
  12. Shien Zhu, Shiqing Li, Weichen Liu*, “iMAD: An In-Memory Accelerator for AdderNet with Efficient 8-bit Addition and Subtraction Operations”, ACM Great Lakes Symposium on VLSI (GLSVLSI), 2022. (Best Paper Candidate Award)
  13. Xiangzhong Luo, Di Liu, Hao Kong, Shuo Huai, Hui Chen, Weichen Liu*, “You Only Search Once: On Lightweight Differentiable Architecture Search for Resource-Constrained Embedded Platforms”, ACM/IEEE Design Automation Conference (DAC), 2022. (Publicity Paper)
  14. Xiangzhong Luo, Di Liu, Hui Chen, Hao Kong, Shuo Huai, Weichen Liu*, “What to Expect of Early Training Statistics? An Investigation on Hardware-Aware Neural Architecture Search: Work-in-Progress”, ACM/IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2022. (Short Paper)
  15. Hao Kong, Di Liu, Xiangzhong Luo, Weichen Liu*, Ravi Subramaniam, “HACScale: Hardware-Aware Compound Scaling for Resource-Efficient DNNs”, ACM/IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), 2022.

  16. Shiqing Li, Di Liu, Weichen Liu*, “Optimized Data Reuse via Reordering for Sparse Matrix-Vector Multiplication on FPGAs”, ACM/IEEE International Conference on Computer Aided Design (ICCAD), 2021.
  17. Hui Chen, Zihao Zhang, Peng Chen, Shien Zhu, Weichen Liu*, “Parallel Multipath Transmission for Burst Traffic Optimization in Point-to-Point NoCs”, ACM Great Lakes Symposium on VLSI (GLSVLSI), 2021.
  18. Shuo Huai, Lei Zhang, Di Liu, Weichen Liu*, Ravi Subramaniam, “ZeroBN: Learning Compact Neural Networks For Latency-Critical Edge Systems”, ACM/IEEE Design Automation Conference (DAC), 2021.
  19. Peng Chen, Hui Chen, Jun Zhou, Di Liu, Shiqing Li, Weichen Liu*, Wanli Chang, Nan Guan, “Partial Order Based Non-Preemptive Communication Scheduling Towards Real-Time Networks-on-Chip”, ACM/SIGAPP Symposium on Applied Computing (SAC), 2021.
  20. Xiangzhong Luo, Di Liu, Shuo Huai, Weichen Liu*, “HSCoNAS: Hardware-Software Co-Design of Efficient DNNs via Neural Architecture Search”, ACM/IEEE Design, Automation and Test in Europe (DATE), 2021.
  21. Wenhong Ma, Guoqi Xie, Renfa Li, Weichen Liu, Hai Li, Wanli Chang*, “Efficient AUTOSAR-Compliant CAN-FD Frame Packing with Observed Optimality”, ACM/IEEE Design, Automation and Test in Europe (DATE), 2021.

  22. Chunhua Xiao*, Zipei Feng, Ting Wu, Lin Zhang, Dandan Xu, Weichen Liu, “COSMA: An Efficient Concurrency-Oriented Space Management Scheme for In-memory File Systems”, IEEE International Conference on Computer Design (ICCD), 2020.
  23. Chunhua Xiao*, Yanyue Pan, Dandan Xu, Weichen Liu, Shuting Sun, Shi Qiu, “Load-Aware Adaptive Cache Management Scheme for Enterprise-Level Stackable Cryptographic File System”, IEEE International Conferences on High Performance Computing and Communications (HPCC), 2020.
  24. Shien Zhu, Luan H. K. Duong, Weichen Liu*, “XOR-Net: An Efficient Computation Pipeline for Binary Neural Network Inference on Edge Devices”, IEEE International Conference on Parallel and Distributed Systems (ICPADS), 2020.
  25. Chunhua Xiao, Fangzhu Lin, Xiaoxiang Fu, Ting Wu, Yuanjun Zhu, Weichen Liu*, “Mobi-PMFS: An Efficient and Durable In-Memory File System for Mobile Devices”, IEEE Annual Computers, Software, and Applications Conference (COMPSAC), 2020.
  26. Xiangzhong Luo, Di Liu, Hao Kong, Weichen Liu*, “EdgeNAS: Discovering Efficient Neural Architectures for Edge Systems”, IEEE International Conference on Computer Design (ICCD), 2020.
  27. Jun Zhou, Mengquan Li, Pengxing Guo, Weichen Liu*, “Mitigation of Tampering Attacks for MR-based Thermal Sensing in Optical NoCs”, IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2020.
  28. Pengxing Guo, Luan H. K. Duong, Wenyang Liu, Jun Zhou, Mengquan Li, Hui Chen, Weichen Liu*, Wei Sun, Weigang Hou, Lei Guo, Yiyuan Xie, Lei Jiang, “StarLight: A Photonic CNN Accelerator Featuring Mode Wavelength Division Multiplexing”, ACM/IEEE Design Automation Conference (DAC), 2020. (Poster) .
  29. Xiangzhong Luo, Luan H. K. Duong, Weichen Liu*, “Person Re-identification via Pose-aware Multi-semantic Learning”, IEEE International Conference on Multimedia and Expo (ICME), 2020.
  30. Jiayuan Dong, Liyan Zhang, Hanwang Zhang, Weichen Liu, “Occlusion-Aware GAN for Face De-Occlusion in the Wild”, IEEE International Conference on Multimedia and Expo (ICME), 2020.
  31. Mengquan Li, Jun Zhou, Pengxing Guo, Weichen Liu*, “Lightweight Thermal Monitoring in Optical Networks-on-Chip via Router Reuse”, ACM/IEEE Design, Automation and Test in Europe (DATE), 2020.
  32. Farzaneh Zokaee, Qian Lou, Nathan Youngblood, Weichen Liu, Yiyuan Xie, Lei Jiang, “LightBulb: A Photonic-Nonvolatile-Memory-based Accelerator for Binarized Convolutional Neural Networks”, ACM/IEEE Design, Automation and Test in Europe (DATE), 2020.
  33. Lei Yang*, Weiwen Jiang, Weichen Liu, Edwin Sha, Yiyu Shi, Jingtong Hu, “Co-Exploring Neural Architecture and Network-on-Chip Design for Real-Time AI”, ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2020.
  34. Peng Chen, Lei Yang, Mengquan Li, Weichen Liu*, Nan Guan, “Contention Minimized Bypassing in SMART NoC”, ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2020.
  35. Qian Lou, Wenyang Liu, Weichen Liu, Lei Jiang*, “MindReading: An Ultra Low Power Nanophotonic Accelerator for EEG-based Intention Recognition”, ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2020.

  36. Renjie Yao, Yaoyao Ye, Weichen Liu, “Design of a Hierarchical Clos-Benes Optical Network-on-Chip Architecture”, IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2019.
  37. Guiyu Tian, Chunhua Xiao, Weichen Liu*, “Dynamic No-Fly Zone for Drones”, IEEE International Conference on Ubiquitous Intelligence and Computing (UIC), 2019.
  38. Xu Jiang2, Nan Guan*, Weichen Liu, Maolin Yang, “Scheduling and Analysis of Parallel Real-Time Tasks with Semaphores”, ACM/IEEE Design Automation Conference (DAC), 2019.
  39. Luan H. K. Duong, Mengquan Li, Weichen Liu*, “Exploring Communication Design Space in Optical Networks-on-Chip: both Distance and Contention Matter”, ACM/IEEE Design Automation Conference (DAC), 2019. (Poster)
  40. Chunhua Xiao*, Linfeng Cheng, Lei Zhang, Duo Liu, Weichen Liu, “Wear-aware Memory Management Scheme for Balancing Lifetime and Performance of Multiple NVM Slots”, IEEE International Conference on Massive Storage Systems and Technology (MSST), 2019.
  41. Pengxing Guo*, Weigang Hou, Lei Guo, Zhaolong Ning, Mohammad S. Obaidat, Weichen Liu, “WDM-MDM Silicon-based Optical Switching for Data Center Networks”, IEEE International Conference on Communications (ICC), 2019.
  42. Weichen Liu*, Wenyang Liu, Yichen Ye, Qian Lou, Yiyuan Xie, Lei Jiang, “Holylight: A Nanophotonic Accelerator for Deep Learning in Data Centers”, ACM/IEEE Design, Automation and Test in Europe (DATE), 2019.
  43. Weichen Liu*, Mengquan Li, Wanli Chang, Chunhua Xiao, Yiyuan Xie, Nan Guan, Lei Jiang, “Thermal Sensing Using Micro-ring Resonators in Optical Network-on-Chip”, ACM/IEEE Design, Automation and Test in Europe (DATE), 2019.
  44. Xu Jiang, Nan Guan*, Di Liu, Weichen Liu, “Analyzing GEDF Scheduling for Parallel Real-Time Tasks with Arbitrary Deadlines”, ACM/IEEE Design, Automation and Test in Europe (DATE), 2019.
  45. Mengquan Li, Weichen Liu*, Lei Yang, Peng Chen, Duo Liu, Nan Guan, “Routing in Optical Network-on-Chip: Minimizing Contention with Guaranteed Thermal Reliability”, ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2019. (Best Paper Candidate Award)

  46. Meiling Han, Nan Guan*, Jinghao Sun, Qingqiang He, Qingxu Deng, Weichen Liu, “Work-In-Progress: Response Time Bounds for Typed DAG Parallel Tasks on Heterogeneous Multi-cores”, IEEE Real-Time Systems Symposium (RTSS), 2018.
  47. Wenyang Liu, Weichen Liu*, Mengquan Li, Peng Chen, Lei Yang, Chunhua Xiao, Yaoyao Ye, “Fine-Grained Task-Level Parallel and Low Power H.264 Decoding for Multi-core Processor Systems”, IEEE International Conference on Parallel and Distributed Systems (ICPADS), 2018.
  48. Yanting Huang, Weichen Liu*, Mengquan Li, Peng Chen, Lei Yang, Chunhua Xiao, Yaoyao Ye, “User Experience-Enhanced and Energy-Efficient Task Scheduling on Heterogeneous Multi-Core Mobile Systems”, IEEE International Conference on Parallel and Distributed Systems (ICPADS), 2018. (Poster)
  49. Mengquan Li, Weichen Liu*, Lei Yang, Peng Chen, Yiyuan Xie, Yaoyao Ye, Nan Guan, “Communication Optimization for Thermal Reliable Optical Network-on-Chip: Work-in-Progress”, ACM/IEEE International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2018
  50. Peng Chen, Weichen Liu*, Mengquan Li, Lei Yang, Chunhua Xiao, Yaoyao Ye and Yiyuan Xie, “PPDR-NoC: A Prioritized and Preemptive Dynamically Reconfigurable Network-on-Chip”, NOCS, 2018. (Poster)
  51. Yingjian Ling, Duo Liu*, Kan Zhong, Renping Liu, Jinting Ren, Weichen Liu, Liang Liang, “TaiJiNet: Towards Lossless Binarized Convolutional Neural Network for Embedded Systems”, ISVLSI, 2018.
  52. Lei Yang*, Weichen Liu, Nikil Dutt, “Thermal Reliable Network-on-Chip based Multiprocessor Systems”, PhD Forum at Design Automation Conference (DAC), 2018.

  53. Peng Chen, Weichen Liu*, Lei Yang, Mengquan Li, Nan Guan, “Real-time Communication Analysis for SmartNoC”, IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), 2017. (Best Poster Paper Award)
  54. Yue Tang, Nan Guan*, Weichen Liu, Linh Thi Xuan Phan, Wang Yi, “Revisiting GPC and AND Connector in Real-Time Calculus”, IEEE Real-Time Systems Symposium (RTSS), 2017.
  55. Weichen Liu*, Peng Chen, Lei Yang, Mengquan Li, Nan Guan, “Fixed Priority Scheduling of Real-time Flows with Arbitrary Deadlines on Dynamically Reconfigurable NoCs: Work-in-Progress”, ACM/IEEE International Conference on Embedded Software (EMSOFT), 2017.
  56. Weichen Liu*, Lei Yang, Weiwen Jiang, Nan Guan, “Communication Optimization for Thermal Reliable Many-core Systems: Work-in-Progress”, IEEE/ACM Intl. Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2017.
  57. Lei Yang, Weichen Liu*, Peng Chen, Nan Guan, Mengquan Li, “Task Mapping on SMART NoC: Contention Matters, Not the Distance”, IEEE/ACM Design Automation Conference (DAC), 2017.
  58. Yue Tang, Nan Guan*, Linh Thi Xuan Phan, Weichen Liu, “Improving the Precision of Real-Time Calculus”, IEEE/ACM Design Automation Conference (DAC), 2017. (Poster)
  59. Peng Chen, Weichen Liu*, Lei Yang, Mengquan Li, Nan Guan, “Schedulability Analysis and Priority Optimization for Real-time Communication on Dynamically Reconfigurable NoCs”, IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), 2017. (Poster)
  60. Weichen Liu*, Peng Wang, Mengquan Li, Yiyuan Xie, Nan Guan, “Quantitative Modeling of Thermal-Optical Effects in Optical Networks-on-Chip”, accepted by ACM Great Lakes Symposium on VLSI (GLSVLSI), 2017.
  61. Zhiwei Feng, Nan Guan*, Mingsong Lv, Weichen Liu, Qingxu Deng, Xue Liu, Wang Yi, “Efficient Drone Hijacking Detection using Onboard Motion Sensors”, ACM/IEEE Design, Automation and Test in Europe Conference (DATE), 2017.
  62. Lei Yang, Weichen Liu*, Nan Guan, Mengquan Li, Peng Chen, Edwin H. M. Sha, “Dark Silicon-Aware Hardware-Software Collaborated Design for Heterogeneous Many-Core Systems”, ACM/IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), 2017.
  63. Lei Yang, Weichen Liu*, “Performance and Reliability Optimization of NoC-based MPSoCs Via Fine-grained Communication Consideration”, ACM SIGDA Student Research Forum at ASP-DAC, Japan, 2017. (Most Popular Poster Award)

  64. Lei Yang, Weichen Liu*, Weiwen Jiang, Mengquan Li, Juan Yi, Edwin H. M. Sha, “FoToNoC: A Hierarchical Management Strategy Based on Folded Torus-Like Network-on-Chip for Dark Silicon Many-Core Systems”, ACM/IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), 2016. (Best Paper Candidate Award: ranked No. 1 in the track with over 60 submissions)
  65. Juan Yi, Qian Zhang, Ye Tian, Ting Wang, Weichen Liu*, Edwin H. M. Sha, Qiang Xu, “ApproxMap: On Task Allocation and Scheduling for Resilient Applications”, ACM/IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), 2016.
  66. Weichen Liu*, Zonghua Gu, Yaoyao Ye, “Efficient SAT-based Application Mapping and Scheduling on Multiprocessor Systems for Throughput Maximization”, ACM/IEEE International Conference on Compilers, Architectures and Synthesis of Embedded Systems (CASES), 2015. (Best Paper Candidate Award: ranked top three in all submissions)
  67. Mengquan Li, Juan Yi, Weichen Liu*, Wei Zhang, Lei Yang, Edwin H. M. Sha, “An Efficient Technique for Chip Temperature Optimization of Multiprocessor Systems in the Dark Silicon Era”, IEEE International Conferences on High Performance Computing and Communications (HPCC), 2015. (Invited)
  68. Xiaohao Lin, Weichen Liu*, Chunming Xiao, Jie Dai, Xianlu Luo, Dan Zhang, Duo Liu, Kaijie Wu, Qingfeng Zhuge, Edwin H. M. Sha, “A Case Study on Task-Level Parallel Implementation of H.264 Decoder on Multiprocessor Platforms”, IEEE International Conferences on High Performance Computing and Communications (HPCC), 2015.
  69. Lei Yang, Weichen Liu*, Weiwen Jiang, Wei Zhang, Mengquan Li, Juan Yi, Duo Liu, Edwin H. M. Sha, “Traffic-Aware Application Mapping for Network-on-Chip Based Multiprocessor System-on-Chip”, IEEE Intl. Conferences on High Performance Computing and Communications (HPCC), 2015.
  70. Kan Zhong, Duo Liu*, Linbo Long, Xiao Zhu, Weichen Liu, Qingfeng Zhuge, Edwin Sha, “nCode: Limiting Harmful Writes to Emerging Mobile NVRAM through Code Swapping”, ACM/IEEE Design, Automation and Test in Europe Conference (DATE), 2015.
  71. Jie Dai, Weichen Liu*, Xiaohao Lin, Yaoyao Ye, Chunming Xiao, Chao Chen, Kaijie Wu, Qingfeng Zhuge, Edwin H. M. Sha, “User Experience Enhanced Task Scheduling and Processor Frequency Scaling for Energy-Sensitive Mobile Devices”, IEEE International Conference on Embedded Software and Systems (ICESS), 2015.
  72. Kan Zhong, Tianzheng Wang, Xiao Zhu, Linbo Long, Duo Liu*, Weichen Liu, Zili Shao, Edwin Sha, “Building High-Performance Smartphones via Non-Volatile Memory: The Swap Approach, ACM/IEEE International Conference on Embedded Software (EMSOFT), 2014.
  73. Yingnan Cui*, Wei Zhang, Vivek Chaturvedi, Weichen Liu, Bingsheng He, “Thermal-aware Task Scheduling for 3D-Network-on-Chip: A Bottom to Top Scheme”, International Symposium on Integrated Circuits (ISIC), pp.224-227, 2014.
  74. Zhe Wang*, Weichen Liu, Jiang Xu, Xiaowen Wu, Zhehui Wang, Bin Li, Ravi Iyer, Ramesh Illikkal, “A Systematic Network-on-Chip Traffic Modeling and Generation Methodology”, IEEE Asia Pacific Conference on Circuits & Systems (APCCAS 2014), Okinawa, Japan, 2014.
  75. Lei Yang, Weichen Liu*, Weiwen Jiang, Juan Yi, Duo Liu, Qingfeng Zhuge, “Contention-Aware Task and Communication Co-Scheduling for Network-on-Chip based Multiprocessor System-on-Chip”, IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), pp.1-8, Chongqing, China, 2014.
  76. Xianlu Luo, Duo Liu*, Kan Zhong, Dan Zhang, Yi Lin, Jie Dai, Weichen Liu, “Bit Shifting and Flipping Mechanism For Reducing Writes In NVM-based Main Memory”, IEEE Intl. Conf. on Embedded and Real-Time Computing Systems and Applications (RTCSA), 2014.
  77. Juan Yi, Weichen Liu*, Weiwen Jiang, Mingwen Qin, Lei Yang, Duo Liu, Chunming Xiao, Luelue Du, Edwin H. M. Sha, “An Improved Thermal Model for Static Optimization of Application Mapping and Scheduling in Multiprocessor System-on-Chip”, IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2014.
  78. Zhe Wang*, Weichen Liu, Jiang Xu, Bin Li, Ravi Iyer, Ramesh Illikkal, Xiaowen Wu, Wai Ho Mow, Wenjing Ye, “A Case Study on the Communication and Computation Behaviors of Real Applications in NoC-based MPSoCs”, IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2014.
  79. Kan Zhong, Xiao Zhu, Tianzheng Wang, Dan Zhang, Xianlu Luo, Duo Liu*, Weichen Liu, Edwin Sha, “DR. Swap: Energy-Efficient Paging for Smartphones”, ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), La Jolla, CA, USA, 2014.
  80. Weichen Liu*, Zhe Wang, Xiaowen Wu, Jiang Xu, Bin Li, Wei Zhang, Yaoyao Ye, Zhehui Wang, Mahdi Nikdast, “An Network-on-Chip Benchmark Suite based on Real Applications”, Workshop on SoCs, Heterogeneous Arch. and Workloads, Shenzhen, China, 2013. (Invited)

  81. Yaoyao Ye*, Jiang Xu, Xiaowen Wu, Wei Zhang, Weichen Liu, Mahdi Nikdast, et al., “Thermal Analysis for 3D Optical Network-on-Chip Based on a Novel Low-Cost 6x6 Optical Router”, IEEE Optical Interconnects Conference, New Mexico, USA, 2012.
  82. Zhehui Wang*, Jiang Xu, Xiaowen Wu, Yaoyao Ye, Wei Zhang, Weichen Liu, Mahdi Nikdast, et al., “A Novel Low-Waveguide-Crossing Floorplan for Fat Tree Based Optical Networks-on-Chip”, IEEE Optical Interconnects Conference, New Mexico, USA, 2012.
  83. Weichen Liu*, Wei Zhang, Fubing Mao, “An Efficient Soft Error Protection Scheme for MPSoC and FPGA-based Verification”, IEEE International Conference on Anti-counterfeiting, Security and Identification (ASID), pp.1-5, Taipei, 2012. (Invited)
  84. Weichen Liu*, Xuan Wang, Jiang Xu, Yu Wang, Wei Zhang, Yaoyao Ye, Xiaowen Wu, “A Low-Overhead Hardware-Software Collaborated Approach for Soft-Error Tolerance”, ACM/IEEE Design Automation Conference (DAC), San Diego, USA, 2011. (Poster)
  85. Weichen Liu*, Jiang Xu, Xiaowen Wu, Yaoyao Ye, Wei Zhang, Xuan Wang, Mahdi Nikdast, Zhehui Wang, “MCSL: A Realistic Traffic Benchmark Suite for Network-on-Chip Studies”, ACM/IEEE Design Automation Conference (DAC), San Diego, USA, 2011. (Poster)
  86. Weichen Liu*, Jiang Xu, Xuan Wang, Yu Wang, Wei Zhang, Yaoyao Ye, Xiaowen Wu, Mahdi Nikdast, Zhehui Wang, “A Hardware-Software Collaborated Method for Soft-Error Tolerant MPSoC”, IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp.260-265, Chennai, India, 2011.
  87. Weichen Liu*, Jiang Xu, Xiaowen Wu, Yaoyao Ye, Xuan Wang, Wei Zhang, Mahdi Nikdast, Zhehui Wang, “A NoC Traffic Suite Based on Real Applications”, IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp.66-71, Chennai, India, 2011.
  88. Yaoyao Ye*, Jiang Xu, Xiaowen Wu, Wei Zhang, Xuan Wang, Mahdi Nikdast, Zhehui Wang, Weichen Liu, “Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip”, IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp.254-259, India, 2011.
  89. Yiyuan Xie*, Mahdi Nikdast, Jiang Xu, Wei Zhang, Qi Li, Xiaowen Wu, Yaoyao Ye, Xuan Wang, Weichen Liu, “Crosstalk Noise and Bit Error Rate Analysis for Optical Network-on-Chip”, ACM/IEEE Design Automation Conference (DAC), pp.657-660, Anaheim, USA, 2010.
  90. Xiaowen Wu*, Yaoyao Ye, Wei Zhang, Weichen Liu, Mahdi Nikdast, Xuan Wang, Jiang Xu, “UNION: A Unified Inter/Intra-Chip Optical Network for Chip Multiprocessors”, IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), pp.35-40, Anaheim, USA, 2010. (Invited)
  91. Kwai Hung Mo*, Yaoyao Ye, Xiaowen Wu, Wei Zhang, Weichen Liu, Jiang Xu, “A Hierarchical Hybrid Optical-Electronic Network-on-Chip”, IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp.327-332, Lixouri Kefalonia, Greece, 2010.
  92. Mahdi Nikdast*, Jiang Xu, Xiaowen Wu, Yaoyao Ye, Weichen Liu, Xuan Wang, “A Formal Analysis of Crosstalk Noise in Mesh-Based Optical Networks-on-Chip for Chip Multiprocessors”, AMD Technical Forum and Exhibition (AMD TFE), Taipei, Taiwan, 2010. (Best Poster Award)
  93. Weichen Liu*, Xuan Wang, Yu Wang, Wei Zhang, Jiang Xu, Yaoyao Ye, Xiaowen Wu, Mahdi Nikdast, “A Case Study of On-Chip Sensor Networks for Soft-Error Tolerant Multiprocessor Systems-on-Chip”, AMD Technical Forum and Exhibition (AMD TFE), Taipei, Taiwan, 2010. (Invited)
  94. Weichen Liu*, Zonghua Gu, Jiang Xu, Yu Wang, Mingxuan Yuan, “An Efficient Technique for Analysis of Minimal Buffer Requirements of Synchronous Dataflow Graphs with Model Checking”, IEEE/ACM Intl. Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), pp.61-70, Grenoble, France, 2009. (Best Paper Candidate Award)
  95. Yu Wang*, Jiang Xu, Shengxi Huang, Weichen Liu, Huazhong Yang, “A Case Study of On-Chip Sensor Network in Multiprocessor System-on-Chip”, ACM International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES), pp.241-250, Grenoble, France, 2009.
  96. Yan Xu, Weichen Liu, Yu Wang*, Jiang Xu, Xiaoming Chen, Huazhong Yang, “On-line MPSoC Scheduling Considering Power Gating Induced Power/Ground Noise”, IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp.109-114, Florida, USA, 2009.
  97. Weichen Liu*, Mingxuan Yuan, Xiuqiang He, Zonghua Gu, Xue Liu, “Efficient SAT-Based Mapping and Scheduling of Homogeneous Synchronous Dataflow Graphs for Throughput Optimization”, IEEE Real-Time Systems Symposium (RTSS), pp.492-504, Barcelona, Spain, 2008.
  98. Jin Cui, Zonghua Gu*, Weichen Liu, Qingxu Deng, “An Efficient Algorithm for Online Soft Real-Time Task Placement on Reconfigurable Hardware Devices”, IEEE International Symposium on Object and Component-Oriented Real-Time Distributed Computing (ISORC), pp.321-328, Santorini Island, Greece, 2007.
  99. Nan Guan, Zonghua Gu*, Qingxu Deng, Weichen Liu, Ge Yu, “Schedulability Analysis of EDF Scheduling on Reconfigurable Hardware Devices”, IEEE International Parallel and Distributed Processing Symposium (IPDPS), pp.1-8, California, USA, 2007.